• 易迪拓培训,专注于微波、射频、天线设计工程师的培养
首页 > 无线通信 > 技术文章 > 基于FPGA的双口RAM实现及应用

基于FPGA的双口RAM实现及应用

录入:edatop.com     点击:

图l是双口RAM的Verilog HDL代码在Xilinx ISE中综合后的寄存器传输级电路图。

功能仿真的是Xilinx公司已经建立自己的编译库的Modelsim XEⅢ6.2c软件,仿真结果如图2所示,满足设计要求。

经Xilinx ISE软件综合实现设计,生成可下载的比特流,将其下载到FPGA中,实现双口RAM的功能。FPGA器件内部具有丰富的资源,可以在实现双口RAM基本功能的基础上。满足系统设计的其他需求,且灵活可配置。

3 基于FPGA的双口RAM应用

双口RAM在数字系统中应用广泛。高速数据采集系统中,一般的数据传输系统在大数据量情况下会造成数据堵塞现象。在一些实时控制场合,实时算法经常需要由几个DSP串行或并行工作以提高系统的运行速度和实时性。以双口RAM构成的数据接口可以在两个处理器之间进行高速可靠的信息传输。此外,双口RAM可以应用在智能总线适配卡、网络适配卡中作为高速数据传输的接口。在许多宽带信号处理领域(如无线通信、多媒体系统、卫星通信、雷达系统等),FPGA技术已代替DSP实现许多前端的数字信号处理算法。用FPGA来实现数字信号处理能很好地解决并行性和速度问题,而且其灵活的可配置特性,使得FPGA构成的数字信号处理系统易于修改、测试及系统升级,降低设计成本,缩短开发周期。任何一种自动控制系统都离不开数据采集系统,数据采集系统的质量直接影响整体系统的工作性能。数据采集系统高速、实时发展趋势,对数据的传输和控制速度提出较高要求。而采用双口RAM可有效提高速度,解决速度匹配问题。

设计l块数据采集系统板,用FPGA实现双口RAM功能,并且控制A/D采样与转换,以及数据写入双口RAM。利用单片机控制双口RAM的存取,构成一个独立的数据采集系统,并可以通过串行接口把数据发送给PC机。图3为其系统结构框图。

首先,时钟产生启动信号,FPGA向采样开关发出选通信号,选定模拟开关采样,第0路模拟量进入,经A/D转换后变为8位数字量并存储于双口RAM中,ADC0809反馈给FPGA内控制电路并告知转换完毕,FPGA内控制电路再选通第1路模拟量进入,重复上述过程。经过0.1 ms后,时钟又产生一个脉冲启动信号。FPGA又重新从第O路模拟量选通。

在时钟脉冲信号0.1 ms过程中,FPGA顺序通过0~7路模拟开关,在每次选通时须判断是否为第7路模拟量,若是则FPGA不再响应A/D反馈信号,而是等待0.1ms的时钟脉冲信号到达再重新开始工作。单片机用于与外部PC机通信,PC机查询是否在双端口RAM中有新数据,并经接口电路读人数据。其中双口RAM具有2组独立的数据、地址和控制总线,可对任何一个端口进行独立的操作。若未采用双口RAM,FPGA采取中断方式对CPU传输数据时,CPU就会停止当前工作而去处理外部请求,当处理完外部事件后再回到原来被中止处,继续原来的工作,这样会影响CPU的速度。因此引入双口RAM存储FPGA传送来的数据,然后CPU再从双口RAM中读数,从而提高效率。本数据采集系统采用基于FPGA双口RAM、单片机等实现数据运行处理和控制功能,使系统的通信和处理能力大大加强,保证了系统的实时性,可以灵活地通过多种方式控制数据读写。

4 结束语

本文充分利用FPGA内部丰富的硬件资源和Verilog HDL语言的灵活性,在FPGA内实现了双口RAM的基本功能,并通过设计一块数据采集板介绍基于FPGA技术的双口RAM的应用,该系统减小了设计电路的复杂性,增强了设计的灵活性和资源的可配置性。整个系统分工明确,构成合理,具有一定的应用价值。

作者:秦鸿刚 刘京科 吴 迪 煤炭科学研究总院 来源:电子设计工程

上一篇:用FIFO实现超声测厚系统A/D与ARM接口设计
下一篇:C8051F35X单片机内部Flash存储器的擦写方法

手机天线设计培训教程详情>>

手机天线设计培训教程 国内最全面、系统、专业的手机天线设计培训课程,没有之一;是您学习手机天线设计的最佳选择...【More..

射频和天线工程师培训课程详情>>

  网站地图