• 易迪拓培训,专注于微波、射频、天线设计工程师的培养
首页 > 无线通信 > 技术文章 > 异步通信起始位正确检测的VHDL实现

异步通信起始位正确检测的VHDL实现

录入:edatop.com     点击:

摘要: 基于FPGA/CPLD的UART设计众多,本文分析了3倍频采样方法存在的不足,同时分析了16倍频采样对起始位检测的可靠性,并给出相关的VHDL硬件描述语言程序代码。

关健词: 异步数据;UART;FPGA/CPLD;VHDL

概述

随着电子设计自动化(EDA)技术的发展,可编程逻辑器件FPGA/CPLD已经在许多方面得到了广泛应用,而UART(通用异步收发器) 是在数字通信和控制系统中广泛使用的串行数据传输协议。因此越来越多用户根据自己的需要,以EDA技术作为开发手段,用一块FPGA/CPLD设计出符合自己需要的UART芯片。基于FPGA/ CPLD的UART设计在诸多文献中都有论述,在此不再对UART整个功能模块实现做太多的论述。本文着重分析UART接收器起始位的检测。

3倍频采样的缺陷

首先,串行异步通信规定了字符数据的传送格式。每一帧数据由起始位、数据位、奇偶校验位、停止位和线路空闲状态组成,格式如图1所示。一般情况起始位为1位,数据位为5、6、7或8位、奇偶校验位为1位,停止位为1、1.5或2位。其中的起始位和停止位就是用来实现字符的同步。在空闲状态,传送线为逻辑"1"状态。数据的传送总是以一个"起始位"开始的,接着是要传送的若干数据位,低位先行,最后是一个"1"状态的"停止位";那么,当接收器检测到一个"1"向"0"的跳变时,便视为可能的起始位。起始位被确认后,就知道发送器已开始发送,当接收了已协议好的位数后并接收到字符帧中停止位就是一帧字符数据已发送完毕。这样,接收器就知道发送器何时开始发送数据和何时结束发送数据。

图1 异步通信字符帧格式

要提高接收器的接收准确性,减少误码率,必须要用比数据波特率高n 倍(n≥1)的速率对数据进行采样。文献2中采用了非常规的3倍频采样方法:用3倍频的波特率对每一位数据进行采样(如图2所示),然后对3次采样结果进行判决。如果3次采样中至少有2次为高电平,则接收这一位数据被判决为高电平,否则,为低电平。

图2 3倍频采样时序图

此方法刚开始给人感觉比常规的16倍频采样准确性高,因为每一位数据都进行3取2的判决,而16倍频采样对每位数据只进行一次中间采样。然而笔者在实际应用中发现了其存在抗干扰性差,移植性差等不足。笔者在应用中自定义的异步数据帧长达21位,应用环境是涡轮工作间。在这样条件下,3倍频采样比在良好的实验室环境性能差了很多,误码率很高。

经分析代码,发现3倍频采样方法在检测异步数据起始位没有任何的抗干扰处理。如果在接收线上存在干扰信号,即使是一个很窄负脉冲干扰,接收器也会误判为是数据帧的起始位,从而产生采样时钟进行后续的数据采样。图3所示为存在干扰信号时,检测起始位信号时序仿真波形。图中COLCK3-IN是3倍频采样时钟,CLOCK1-IN是数据波特率,DATAIN 是接收线上的数据。从图中看出,干扰信号后,COLCK3-IN时钟产生,接收器接收数据。可见接收到的数据都是错误数据。简单说,文献2中所论述方法不能识别真假数据起始位。再从程序可移植性来说,3倍频采样时钟是用三个数值进行计数判断而得,当波特率改变,其数值都要做相应改变,这就增加了程序的修改难度。

图3 存在干扰信号时3倍频采样时序图

16倍频采样起始位的检测

3倍频采样方法无法识别真假数据起始位,导致其抗干扰性差,准确性得不到保证。笔者抛弃非常规3倍频采样方法,采用了常规的16倍频采样方法:采用数据速率的16倍进行采样,采样时钟连续采样到8个低电平信号,可确定该低电平为真正的起始位,从而防止干扰信号产生的假起始位现象的发生。此后,接收器每隔16个采样时钟采样一次,并把采样到的数据作为输入数据,以移位方式存入到接收移位寄存器。16倍频对接收线的采样关系如图4所示。

图4 UART对数据的采样

起始位检测8个连续脉冲的另一个更重要的原因是,采用16倍频采样的时钟,第8个采样脉冲所对应的数据波形正好是该位数据位波形的正中点(以时钟上升沿采样),在该处读写数据应该是最安全点。

作者:杨润利,曹伟军,郑莉,雷庆华 电子科技集团公司 来源:电子产品世界

上一篇:详解IP电话的工作流程
下一篇:浅析IP电话的基本原理

手机天线设计培训教程详情>>

手机天线设计培训教程 国内最全面、系统、专业的手机天线设计培训课程,没有之一;是您学习手机天线设计的最佳选择...【More..

射频和天线工程师培训课程详情>>

  网站地图