• 易迪拓培训,专注于微波、射频、天线设计工程师的培养
首页 > 无线通信 > 技术文章 > 一种基于FPGA的VGA 象信号发生器设计

一种基于FPGA的VGA 象信号发生器设计

录入:edatop.com     点击:

Cyclone器件具有高级外部存储器接口,允许设计者将外部单数据率(SDR)SDRAM,双数据率(DDR)、SDRAM和 DDR FCRAM 器件集成到复杂系统设计中,而不会降低数据访问的性能。并且还具有两个可编程锁相环(PLL)和八个全局时钟线,能提供时钟管理和频率合成,实现最大的系统功能。根据VGA显示原理,图象信号产生器的主要功能是:产生时序驱动信号HS、VS及VGA彩色图象编码信号,同时在正确的时序控制下,输出ROM中的象素数据至显示器的VGA接口,进行图象显示。FPGA内部电路原理结构如图4。本文利用Altera公司QuartusⅡ6.0开发平台,遵循自顶向下的设计方法,针对各功能模块,采用VHDL语言对FPGA器件编程,产生HS和VS扫描时序信号及各种图象信号。

\

VGA时序信号是图象显示的关键,行场扫描时序的产生,是利用逻辑编程的方法实现的,即用VHDL编写分频器,计时器模块,来获得T1、T2、T3、T4时序。当输出数字、彩条信号和棋盘格图象时,由外部12M有源晶振提供时钟输入,其中行频HS:12MHZ ÷13÷29=31830Hz、场频VS:31830Hz÷480×0.93=61.67Hz、T1=1/31830Hz×4/29=25.96us、T2=1/31830Hz×5/29=6.04us、T3为两个行周期(T1+T2),T4为480个行周期。

\

图象信号包括数字、彩条、棋盘格,和ROM中定制的图形等。数字信号和彩条信号的产生是按行场方向将屏幕各进行8等分,相当于一个8×8的点阵,在对应位置显示相应颜色即可获得所需图像信号;棋盘格信号是将横彩条和竖彩条相异或获得。ROM中定制的较为复杂的彩色图像,需采用像素点输出,即将图像各像素点的信息存储于ROM中,再以一定的频率输出。FPGA器件ROM的定制有两种方法:第一种方法是利用FPGA器件的嵌入式存储器定制LPM_ROM,用.MIF文件或.HEX文件对其进行初始化,这种方法获得的ROM最大寻址空间为2 12,可以存储一幅分辨率为64×64的图像信息;第二种方法是在FPGA逻辑资源的限度内用VHDL语言定制一个ROM,采用CASE语句对其进行初始化,这种方法获得的ROM在存储深度较大时,编译时对时间的开销较大。ROM初始化完成后,在25MHz的时钟频率下输出存储的图像信息。其图象颜色种类的多少取决于存储空间的大小。

ROM定制的图象信息是利用FPGA嵌入的存储器定制LPM_ROM,可以用于存储一幅64×64分辨率的图像信息,数据线宽为3位,地址线12根,采用组合寻址方式,即行地址HSADDRESS占低6位,场地址VSADDRESS占高6位;若要显示更为复杂的图象信息,只需扩展存储器及寻址的数据线宽度,为了保证行地址信号输出与行扫描信号输出同步,场地址信号输出与场扫描信号输出同步,在VHDL编程时,可用25MHz时钟作为进程的启动信号。输出信号的时序波形如图6所示。各种图象信号的输出是由数据选择器通过VHDL编程实现的。

\

4.2 视频输出接口电路部分设计

VGA 接口采用非对称分布的15pin 连接方式,其工作原理是将显存内以数字格式存储的图像( 帧) 信号在RAMDAC 里经过模拟调制成模拟高频信号,然后进行输出显示,这样VGA信号就不必像其它视频信号那样还要经过矩阵解码电路的换算。从视频成像原理可知VGA的视频传输过程是最短的,所以VGA 接口拥有许多的优点,如无串扰、无电路合成分离损耗等。视频输出与VGA 接口如图7。

\

4.3 模式控制与显示部分设计

为了实现人机对话,模式控制与显示即人机接口的设计,选用LCD显示器和矩阵键盘,使接口和显示更加友好。要求能根据键盘扫描结果,控制不同的图象信号输出,并进行相应的功能显示。采用单片机89S51作为控制器,对键盘模块和功能显示模块进行控制。用C语言编程,对键盘进行扫描和液晶显示模块的控制。当然也可以对FPGA器件编程,实现对键盘模块和功能显示模块的控制。但需占用FPGA器件的逻辑资源,会对定制图像信息的存储空间造成影响。

本设计采用4×4矩阵式键盘,行、列线占用单片机8个I/O口资源,键盘扫描过程是列扫描行输出,逐列扫描,读取键值,根据读回的值判断所按键的位置,按键消抖采用延时消抖方式,根据键值跳转执行相应功能程序。显示器采用TS-12864-3液晶显示屏,由单片机控制及驱动,显示系统当前工作状态等信息。

5、结束语

随着数字图像处理的应用领域不断扩大,其实时处理技术成为研究的热点。EDA(电子设计自动化)技术的迅猛发展为数字图像实时处理技术提供了硬件基础。其中FPGA的特点使其非常适用于进行一些基于像素级的图像处理。 本文设计的基于FPGA的数字图像实时生成系统,可以实现各种数字、文字、彩条、ROM图像信号输出。其图像显示控制器的系统处理耗时小于20ms,完全达到了实时要求(50场/秒)。所进行的原理试验取得了良好的效果。

本系统可以作为显示器测试信号发生器,适用于显示器生产厂或者维修人员 、计算机房、以及单位用户、甚至个人用户,进行无需连接主机的画面演示、测试、检查,和维修后调试,通过对标准图形的观察和分析,能够判断显示器的总体性能或维修后效果。

本设计还可以作一些扩展,如添加语音处理电路,实现图像输出时同步输出语音;还可以外接大容量存储器,采用8位二进制数据表示R、G、B三基色,实现256色输出,使显示的图像色彩更加丰富。

作者:蒋艳红 桂林电子科技大学   来源:电子工程世界

上一篇:采用EPIC技术的可编程密码处理器设计
下一篇:基于WinCE的CAN适配卡驱动程序的设计与实现

手机天线设计培训教程详情>>

手机天线设计培训教程 国内最全面、系统、专业的手机天线设计培训课程,没有之一;是您学习手机天线设计的最佳选择...【More..

射频和天线工程师培训课程详情>>

  网站地图