• 易迪拓培训,专注于微波、射频、天线设计工程师的培养
首页 > 测试测量 > 技术文章 > 浅谈FPGA与ASIC的设计优势

浅谈FPGA与ASIC的设计优势

录入:edatop.com    点击:

ASIC 和 FPGA 具有不同的价值主张,选择其中之一之前,一定要对其进行仔细评估。2种技术的比较信息非常丰富。这里介绍了ASIC和FPGA的优势与劣势。

FPGA 和 ASIC 的设计优势比较

FPGA 的设计优势

更快的面市时间 - 无需布线、掩模和其它制造步骤

无 NRE(临时花费) - 与 ASIC 设计有关的成本

缩短了设计周期 - 由于软件可以处理很多布线、布局和实现问题

更加可预测的项目周期 - 由于消除了可能的 re-spin、晶圆容量等

现场可重编程能力 - 可以远程上传的新比特流

ASIC 的设计优势

全定制性能 - 实现设计,因为器件满足设计技术要求

降低单位成本 - 用于实现大批量设计

小型化 - 器件满足设计技术要求

较高的内部时钟速度 - 量身定制所以执行速度较快

专用集成电路 - 大规模生产的话成本比FPGA低

过 去 FPGA 用于速度/复杂度/容量较低的设计,而今天的 FPGA 则可以轻松突破 500 MHz 的性能障碍。FPGA 能够以更低的价格实现无可比拟的逻辑密度增加和一大批其它特性(如嵌入式处理器、DSP 模块、时钟技术和高速串行),现已几乎成为任何设计的首选。

FPGA 和 ASIC 的设计流程对比

 

FPGA 和 ASIC 的设计流程对比

 

FPGA 和 ASIC 的设计流程对比

FPGA 设计流程消除了复杂的而又耗时的平面布局、布局和布线、时序分析和掩模/项目的 re-spin 阶段,因为设计逻辑已经被综合到通过验证的、特色 FPGA 器件上了。

然而,必要时,Xilinx 还能够提供先进的平面布局、层次化设计和时序工具,使用户能够将要求最苛刻的设计的性能最大化。

点击浏览:矢量网络分析仪、频谱仪、示波器,使用操作培训教程

上一篇:分析CPLD与FPGA区别
下一篇:FPGA设计时常用的开发工具

微波射频测量操作培训课程详情>>
射频和天线工程师培训课程详情>>

  网站地图