• 易迪拓培训,专注于微波、射频、天线设计工程师的培养
首页 > 测试测量 > 技术文章 > EDA技术的发展及其在现代数字系统中的应用

EDA技术的发展及其在现代数字系统中的应用

录入:edatop.com    点击:
---- EDA(ElectroNIcs Design Automation)技术是随着集成电路和计算机技术的飞速发展应运而生的一种高级、快速、有效的电子设计自动化工具。EDA工具是以计算机的硬件和软件为基本工作平台,集数据库、图形学、图论与拓扑逻辑、计算数学、优化理论等多学科最新成果研制的计算机辅助设计通用软件包。EDA是电子设计技术的发展趋势,利用EDA工具可以代替设计者完成电子系统设计中的大部分工作。
     数字系统的实现方法也经历了由分立元件、SSI、MSI到LSI、VLSI以及UVISI的飞速发展过程。为了提高系统的可靠性与通用性,微处理器和专用集成电路(ASIC)逐渐取代了通用全硬件LSI电路。可编程逻辑器件(PLD),尤其是现场可编程逻辑器件(FPLD)被大量地应用在ASIC的制作中。在可编程集成电路的开发过程中,EDA技术的出现带来了电子系统设计的革命性变化。
一、 EDA技术的发展回顾
      EDA技术伴随着计算机、集成电路、电子系统设计的发展,经历了计算机辅助设计CAD(Computer Assist Design) 、计算机辅助工程设计CAE(Computer Assist Engineering Design)和电子系统设计自动化ESDA(Electronic System Design Automation)三个发展阶段。
     20世纪70年代,随着中小规模集成电路的出现和应用,传统的手工制图设计印刷电路板和集成电路的方法已无法满足设计精度和效率的要求,人们开始将产品设计过程中高重复性的繁杂劳动如布图布线工作用二维平面图形编辑与分析的CAD工具代替。这就产生了第一代EDA工具。受当时计算机工作平台的制约,能支持的设计工作有限且性能比较差。
     80年代出现的第一个个人工作站(Apollo)计算机平台,推动了EDA工具的迅速发展。为了适应电子产品在规模和制作上的需要,出现了以计算机仿真和自动布线为核心技术的第二代EDA技术。具有自动综合能力的CAE工具代替了设计师的部分设计工作。其特点是以软件工具为核心通过这些软件完成产品开发的设计、分析、生产、测试等各项工作。但是,大部分从原理图出发的EDA工具仍然不能适应复杂电子系统设计的要求,而且具体化的元件图形制约着优化设计。
     90年代,设计师逐步从使用硬件转向设计硬件,从电路级电子产品开发转向系统级电子产品开发。ESDA工具是以系统级设计为核心,包括系统行为级描述与结构级综合,系统仿真与测试验证,系统划分与指标分配,系统决策与文件生成等一整套的电子系统设计自动化工具。第三代EDA技术的出现,极大地提高了系统设计的效率,使设计师开始实现“概念驱动工程”的梦想。设计师摆脱了大量的辅助设计工作,把精力集中于创造性的方案与概念构思上,从而极大地提高了设计效率,缩短了产品的研制周期。
二、 EDA技术的构成
     现代EDA技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。EDA技术研究的对象是电子设计的全过程,有系统级、电路级和物理级各个层次的设计。EDA技术研究的范畴相当广泛,从ASIC开发与应用角度看,包含以下子模块:设计输入子模块、设计数据库子模块、分析验证子模块、综合仿真子模块、布局布线子模块等。
     EDA主要采用并行工程和“自顶向下”的设计方法,然后从系统设计入手,在顶层进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错,并用VHDL、Verilog-HDL、ABEL等硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。
     EDA工具的发展经历了两个大的阶段:物理工具和逻辑工具。物理工具用来完成设计中的实际物理问题,如芯片布局、印刷电路板布线等。逻辑工具是基于网表、布尔逻辑、传输时序等概念,首先由原理图编辑器或硬件描述语言进行设计输入,然后利用EDA系统完成综合、仿真、优化等过程,最后生成物理工具可以接受的网表或VHDL、Verilog-HDL的结构化描述。现在常见的EDA工具有逻辑器、仿真器、检查/分析工具、优化/综合工具等。
    目前,PLD已成为现代数字系统设计的主要手段。传统的编程技术是将PLD器件插在编程器上进行编程,而“在系统可编程”(ISP,即In-System Programmable)逻辑器件的问世,将可编程器件的优越性发挥到了极致。ISP技术就是直接在用户设计目标系统中或线路板上对PLD器件进行编程的技术。打破了使用PLD必先编程后装配的惯例,可以先装配后编程,成为产品后还可反复编程。ISP允许用户“在系统中” 编程和修改逻辑,给使用者提供了在不修改系统硬件设计的条件下重构系统的能力和硬件升级能力,使硬件修改变得像软件修改一样方便,系统的可靠性因此而提高。
三、 EDA技术的发展趋势
     随着Intel公司Pentium处理器的推出,Xilinx等公司几十万门规模的FPGA的上市,以及大规模的芯片组和高速、高密度印刷电路板的应用,EDA技术在仿真、时序分析、集成电路自动测试、高速印刷电路板设计及操作平台的扩展等方面都面临着新的巨大的挑战。这些就是新一代EDA技术未来发展的趋势。面对当今飞速发展的电子产品市场,设计师需要更加实用、快捷的EDA工具,使用统一的集成化设计环境,改变传统设计思路,将精力集中到设计构思、方案比较和寻找优化设计等方面,以最快的速度开发出性能优良、质量一流的电子产品。新一代EDA技术将向着功能强大、简单易学、使用方便的方向发展。
四、 十进制加法计数器与数字显示译码器设计
1. 设计要求
   
    要求设计一个二进制加法计数器,时钟上升沿触发,可进行复位(即清零)和时钟使能控制,计数结果经七段显示译码器译码后驱动七段共阴极数码管显示。框图如下:
2. 具体实现
    以上设计的具体实现是使用可编程逻辑设计软件平台。本设计使用的是Altera公司在Windows环境下开发的MAXPLUS,是EDA软件工具。进行逻辑设计的过程主要有四个阶段。
1、设计输入
 
    EDA工具的重要特征之一是支持多种输入方式,如原理图输入、HDL硬件描述语言输入、状态级输入等,MAXPLUSⅡ能很好支持这些输入方式。本设计底层的计数器、译码器模块由VHDL语言实现,顶层设计采用原理图输入方式实现。
---- 具体编程包括:
---- 十进制加法计数器cnt10.vhd的VHDL源程序(略);
---- 段译码器decl.vhd的VHDL源程序(略)。
2、设计处理
---- 完成实验电路输入后,再对电路进行编译。MAXPLUSⅡ提供功能强大的编译器。在MAXPLUSⅡ编译器中有逻辑综合模块,可对逻辑设计化简,如除去冗余逻辑;有试配模块,将逻辑设计分配至一个或多个器件,实现自动布局布线;有装配模块,将已编译的设计创建一个或多个编程目标文件。MAXPLUSⅡ提供了良好的逻辑综合与优化功能,能将设计的逻辑级电路图自动地转换为门级电路,并生成相应的网表文件、时序分析文件和各种报表。若设计没有错误,最终可生成可以编程下载的.sof文件。

3、设计校验
---- 在设计完成后,要对电路进行功能仿真和时序仿真。功能仿真可以使用向量输入语言定义输入激励,也可以波形编辑器直接输入波形。仿真结果可以在波形编辑器或文本编辑器中看到,也可打印出波形文件或文本文件。时序仿真可以确定器件引脚上的建立时间和保持时间,可以交互式地指定命令,或通过文本命令文件完成指定的仿真任务。

4、器件编程
---- 当确定设计工作已基本成功时,即可通过编程电缆数据流来进行硬件验证。将编译后的.sof文件通过编程电缆到ISP。本设计采用的是Altera公司的EPM7128SLC84芯片。用示波器测试该ISP上波形与计算机仿真波形是一致的。验证合格后,总体设计工作即圆满完成。
---- 通过此设计的流程讲述可知,EDA技术及其工具在数字电路系统中正发挥着越来越重要的作用。随着现场可编程逻辑器件的逐渐兴起,VHDL等通用性好、移植性强的硬件描述语言的普及,ASIC技术的不断完善,现代电子系统的设计已经再也离不开EDA技术的帮助了。

点击浏览:矢量网络分析仪、频谱仪、示波器,使用操作培训教程

上一篇:网络处理器软件开发模型的研究
下一篇:用Labwindows/CVI实现基于C/S模式的网络化虚拟测试系统

微波射频测量操作培训课程详情>>
射频和天线工程师培训课程详情>>

  网站地图