• 易迪拓培训,专注于微波、射频、天线设计工程师的培养
首页 > 电子设计 > PCB设计 > Altium Designer和Protel > AD 里面update PCB net加不进去

AD 里面update PCB net加不进去

录入:edatop.com     点击:
在做一块板子的时候,update了一下PCB
发现很多net都加不进去,于是乎自己在PCB里面手动加,加以后再update PCB
ECO里面显示要把我加上的net全部要删除,然后要加那些先前加不上的net,我全部OK以后,结果删除的删掉了,该加的却没有加上去
是什么原因
本来打算全部手动加的
但是一方面问题不清楚肯定不行
另外手动加到VCC的时候实在太多了,很难一个一个check(幸好不是GND)
求助

是不是你 SCH 有些网络没有连好   一般不会出现更新不了NET 的问题   还是先运行下 SCH   看看是不是 有错误   确定没有问题 再更新看看

check了一遍又一遍,听你这么一说,我再去check一遍

检查SCH  DRC 时   你要确保那些检查项已经开启  不然检查多少次都发现不了问题

不知道你说的手动加net是在PCB还是原理图!如果是在原理图 你用up应该是没有什么问题的(这里你要看看你的up是以什么为更新选项的 off-page、页、还是sch……)。如果是在PCB中手动加入网络,那up的时候删除是很正常的!

最好再看看你的网络标识的有效范围。

我今天把所有的网标都改成net label,终于把所有的net都加进去了。
从前的图是别人画的,挺乱的,方块图上面都是错误,所以最后导致net加不上,但是具体是因为那些ERC导致的,还是没有找到原因,也没时间找原因了
既然net label可以把所有项目中pin连接起来,那要port,off_sheet_net_label做什么用

为什么一个问题可以有这么多人帮忙回答呢
太感动了

如果project里面有port,系统是默认为不想连接的。比如sheet1的vcc-c1.1接到sheet2的c10.1, 那么update的时候vcc就要在PCB里面ADD2次,因为sheet2里面有port,将sheet2的port删除以后,就不会有这样的问题了。
谁能告诉我,在哪个地方设置port的作用范围

回首我发的帖子,貌似基本上你的回复正确率最高,哈哈,谢谢了

Cadence Allegro 培训套装,视频教学,直观易学

上一篇:AD10 ,自己做的元件库和封装库但在原理图中的Design ltem id 老是...
下一篇:如何有选择性导出DXF

PCB设计培训课程推荐详情>>

  网站地图