• 易迪拓培训,专注于微波、射频、天线设计工程师的培养
首页 > 电子设计 > PCB设计 > Orcad技术问题 > design entry hdl 中的VALUE怎么样传到ALLEGRO

design entry hdl 中的VALUE怎么样传到ALLEGRO

录入:edatop.com     点击:
design entry hdl 中的VALUE怎么样传到ALLEGRO中去,我的位号都传过了,就是值传不过来

简单的说,i want to know,what property of design entry hdl =allegro of value?

Cadence Allegro 培训套装,视频教学,直观易学

上一篇:intersheet reference 问题
下一篇:新手傻瓜问题,求老鸟们帮帮忙

PCB设计培训课程推荐详情>>

  网站地图