• 易迪拓培训,专注于微波、射频、天线设计工程师的培养
首页 > 电子设计 > PCB设计 > Allegro PCB技术问答 > cadence spb163 working on opensuse 11.3 32bit

cadence spb163 working on opensuse 11.3 32bit

录入:edatop.com     点击:



1,install      video card  dev

2, install   lib for  openmotif openmotif-devel openmotif22
3,install   ksh csh     or   you will be face error when allegro run just like:MPS ERROR: mpsSend:could not connect with cdsMsgServer on host
4,sudo
ln
-s
/usr/lib/libXm.so.3.0.3 /usr/X11R6/lib/libXm.so.3
-------------------------------------

5,install  spb:
tar  -zxvf  Base_SPB16.30.000_lnx86  1-5   and tar  -zxvf  IScape03.71-p002lnx86.t.Z
my  install   path   /opt/spb
sudo
chown
500
/opt sudo
chmod
777
/opt export
LANG=en
after install finish  ,do not config install software,  cp  iscape to /opt/spb
then,cd  install path, to run once iscape/bin/iscape.sh  just open it,don't need to any configure .
copy  pubkey to /opt/spb
5 crack   
use
/pubkey -d cdslmd -y
after done,cp licenses.dat to /usr/local/flexlm/licenses/licenses.dat
note: license.dat like this:
SERVER localhost 27000
DAEMON cdslmd /opt/spb/tools.lnx86/bin/32bit/cdslmd
......
and chmod 755  your licenses.dat  in /usr/local/flexlm/licenses/,
at last load your licenses,use : /opt/spb/tools/bin/lmgrd -c
6 export and set Cadence SPB environment
gedit ~/.bashrc   add :
##Cadence SPB environment
export LANG=en
export CDS_AUTO_32BIT ALL
export CDS_INST_DIR=/opt/spb
export CDS_LIC_FILE=/usr/local/flexlm/licenses/license.dat
export CDS_DIR=$CDS_INST_DIR
export CONCEPT_INST_DIR=$CDS_DIR
export CDS_SITE=$CDS_DIR/share/local/
export LD_LIBRARY_PATH=/lib:/usr/lib:/opt/spb/tools.lnx86/lib:/opt/spb/tools.lnx86/mainwin520/mw/lib-linux_optimized/
export CDSDOC_PROJECT=$CDS_INST_DIR/doc
export PATH=$PATH
:$CDS_INST_DIR/tools.lnx86/jre/bin:$CONCEPT_INST_DIR/tools.lnx86/bin:$CONCEPT_INST_DIR/tools.lnx86/pcb/bin:$CONCEPT_INST_DIR/tools.lnx86/fet/bin:$CONCEPT_INST_DIR/tools.lnx86/specctra/bin/:$CONCEPT_INST_DIR/tools.lnx86/plot/bin:$CONCEPT_INST_DIR/stream_mgt/bin/:$CONCEPT_INST_DIR/tools.lnx86/fet/concept/bin:$CONCEPT_INST_DIR/tools.lnx86/dfII/bin:$CONCEPT_INST_DIR/tools.lnx86/spectre/bin
7,enjoy
open a terminal , type:allegro & or allegro to start allegro;
projmgr
allegro_design_entry_HDL

at last ,sorry for my poor english:),( chinese input still  downloading ...,) many thanks to zengeronline (ubuntu 's install  experience )and
zwxie (Opensuse's  install experience and pubkey
)Opensuse's  install experience and pubkey

Cadence Allegro 培训套装,视频教学,直观易学

上一篇:allegro Hotfix_SPB16.30.015_wint_1of1好像已经出来了
下一篇:建没有电性能的焊盘

PCB设计培训课程推荐详情>>

  网站地图