• 易迪拓培训,专注于微波、射频、天线设计工程师的培养

allego 库文件

录入:edatop.com     点击:
我刚学design entry hdl画原理图,但是找元件没有元件库,只有standard和rf_cmp_lib两个,也不知道干什么用
这个好像和DXP差别挺大的   
我是个新手,,design entry hdl元件库在哪里可以下载到

Cadence Allegro 培训套装,视频教学,直观易学

上一篇:EMC中的导线阻抗和信号完整性中的传输线特征阻抗是不是2个概念?
下一篇:16.5有Bug 喔

PCB设计培训课程推荐详情>>

  网站地图