• 易迪拓培训,专注于微波、射频、天线设计工程师的培养
首页 > 电子设计 > PCB设计 > Allegro PCB技术问答 > 请各位帮我看看这个错误!

请各位帮我看看这个错误!

录入:edatop.com     点击:

 ********************************************************************************
*
* Netlisting the design
*
********************************************************************************
Design Name:
e:\a\au1200_pmp.dsn
Netlist Directory:
e:\a\allegro
Configuration File:
C:\OrCAD\OrCAD_10.0\tools\capture\allegro.cfg

Spawning... "C:\OrCAD\OrCAD_10.0\tools\capture\pstswp.exe" -pst -d "e:\a\au1200_pmp.dsn" -n "e:\a\allegro" -c "C:\OrCAD\OrCAD_10.0\tools\capture\allegro.cfg" -v 3 -j "PCB Footprint"
#1 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#2 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#3 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#4 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#5 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#6 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#7 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#8 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#9 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#10 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#11 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#12 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#13 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#14 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#15 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#16 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#17 Error   [ALG0065] Illegal character in \au1200_pmp(1)
\.
#18 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#19 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#20 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#21 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#22 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#23 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#24 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#25 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#26 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#27 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#28 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#29 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#30 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#31 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#32 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#33 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#34 Error   [ALG0065] Illegal character in \
au1200_pmp(1)\.
#35 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#36 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#37 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#38 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#39 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#40 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#41 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#42 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#43 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#44 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#45 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#46 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#47 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#48 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#49 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#50 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#51 Error   [ALG0065] Illegal c
haracter in \au1200_pmp(1)\.
#52 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#53 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#54 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#55 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#56 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#57 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#58 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#59 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#60 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#61 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#62 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#63 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#64 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#65 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#66 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#67 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#68 Error   [ALG00
65] Illegal character in \au1200_pmp(1)\.
#69 Error   [ALG0065] Ill
egal character in \au1200_pmp(1)\.
#70 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#71 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#72 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#73 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#74 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#75 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#76 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#77 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#78 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#79 Error   [ALG0065] Illegal character in \au1200_pmp(1)\.
#80 Aborting Netlisting... Please correct the above errors and retry.

Exiting... "C:\OrCAD\OrCAD_10.0\tools\capture\pstswp.exe" -pst -d "e:\a\au1200_pmp.dsn" -n "e:\a\allegro" -c "C:\OrCAD\OrCAD_10.0\tools\capture\allegro.cfg" -v 3 -j "PCB Footprint"


*** Done ***

我已经把"au1200_pmp(1)"改成了“au1200_pmp”,应该没有非法字符了吧。怎么还会报错?

你看看你的文件夹或者是文件名字是不是有的用中文了 把它们都换成英文的

Cadence Allegro 培训套装,视频教学,直观易学

上一篇:Edit/ Z-Copy 命令有问!
下一篇:2006台北电脑展-MB-ddr3

PCB设计培训课程推荐详情>>

  网站地图