• 易迪拓培训,专注于微波、射频、天线设计工程师的培养
首页 > 电子设计 > PCB设计 > Allegro PCB技术问答 > 请问在allegro中怎么建库阿

请问在allegro中怎么建库阿

录入:edatop.com     点击:
各位大侠,我是刚刚开始学习allegro,我的教程有如何建立元器件封装,可是我不知道如何建库,以及如何在导入网表时和库对应起来,请不吝赐教,谢谢各位

一般就是把建立好的元件放在一個地方,在allegro里面找到這個路徑

元件就可以導進去了

可以在ENV 文件中设置这个路径。

有没有其它更加明了的方法

期待高人的回答,怎样连接库呢?

寻找到

set up->user reference.   Config_paths和Design_paths中 设置路径的。

谢谢,那时不是就是我自己建立一个文件夹,再把元件封装一个个建立在这个文件夹里面,那么导入网表时如何对应啊,是不是还得一个个添加了元器件才能倒入网表阿,谢谢

Cadence Allegro 培训套装,视频教学,直观易学

上一篇:用concept HDL怎样做原理图库?
下一篇:求救,出光绘文件的时候出错,不知啥原因,下面是log的说明

PCB设计培训课程推荐详情>>

  网站地图